`timescale 1 ns/ 1 ps; module moore_machine_tb; reg t_clk,t_rst,t_I; wire t_O; moore_machine moore_machine_tb(.clk(t_clk),.rst(t_rst),.I(t_I),.O(t_O)); initial begin t_clk = 0; forever #10 t_clk = ~t_clk; end initial begin t_rst = 1; t_I = 1'b0; #10 t_rst = 0; t_I = 1'b0; #20 t_I = 1'b1; #20 t_I = 1'b0; #20 t_I = 1'b1; end // initial // begin // $monitor("%t,t_I=%b,t_O=%b",$time,t_I,t_O); // end endmodule