`timescale 1ns / 1ps module Mux_4 ( input [3:0] in, input [1:0] sel, output out); // Declare wires needed for entity wire [1:0] internalMuxOut; // Create the 3 mux components needed endmodule