/* A two-way light controller Author: ECED 4260 Student ID: B00------- Date: September xx, 2022 File Name: light.vhd Architecture:LogicFunction Description: The circuit can be used to control a single light from either of the two swiches, x1 and x2. Acknowledgements: */ module light (x1,x2,f); input x1,x2; output f; assign f=(x1 & ~x2) | (~x1 & x2); endmodule