# -------------------------------------------------------------------------- # # # Copyright (C) 2020 Intel Corporation. All rights reserved. # Your use of Intel Corporation's design tools, logic functions # and other software and tools, and any partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Intel Program License # Subscription Agreement, the Intel Quartus Prime License Agreement, # the Intel FPGA IP License Agreement, or other applicable license # agreement, including, without limitation, that your use is for # the sole purpose of programming logic devices manufactured by # Intel and sold by Intel or its authorized distributors. Please # refer to the applicable agreement for further details, at # https://fpgasoftware.intel.com/eula. # # -------------------------------------------------------------------------- # # # Quartus Prime # Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition # Date created = 13:55:07 November 11, 2022 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # reaction_tester_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus Prime software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY "Cyclone V" set_global_assignment -name DEVICE 5CSEMA5F31C6 set_global_assignment -name TOP_LEVEL_ENTITY reaction_tester set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:55:07 NOVEMBER 11, 2022" set_global_assignment -name LAST_QUARTUS_VERSION "20.1.0 Lite Edition" set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name BOARD "DE1-SoC Board" set_location_assignment PIN_AF14 -to CLOCK_50 set_location_assignment PIN_AA14 -to KEY[0] set_location_assignment PIN_AA15 -to KEY[1] set_location_assignment PIN_W15 -to KEY[2] set_location_assignment PIN_V16 -to LEDG[0] set_location_assignment PIN_AE26 -to HEX0[0] set_location_assignment PIN_AE27 -to HEX0[1] set_location_assignment PIN_AE28 -to HEX0[2] set_location_assignment PIN_AG27 -to HEX0[3] set_location_assignment PIN_AF28 -to HEX0[4] set_location_assignment PIN_AG28 -to HEX0[5] set_location_assignment PIN_AH28 -to HEX0[6] set_location_assignment PIN_AJ29 -to HEX1[0] set_location_assignment PIN_AH29 -to HEX1[1] set_location_assignment PIN_AH30 -to HEX1[2] set_location_assignment PIN_AG30 -to HEX1[3] set_location_assignment PIN_AF29 -to HEX1[4] set_location_assignment PIN_AF30 -to HEX1[5] set_location_assignment PIN_AD27 -to HEX1[6] set_location_assignment PIN_AB23 -to HEX2[0] set_location_assignment PIN_AE29 -to HEX2[1] set_location_assignment PIN_AD29 -to HEX2[2] set_location_assignment PIN_AC28 -to HEX2[3] set_location_assignment PIN_AD30 -to HEX2[4] set_location_assignment PIN_AC29 -to HEX2[5] set_location_assignment PIN_AC30 -to HEX2[6] set_location_assignment PIN_AD26 -to HEX3[0] set_location_assignment PIN_AC27 -to HEX3[1] set_location_assignment PIN_AD25 -to HEX3[2] set_location_assignment PIN_AC25 -to HEX3[3] set_location_assignment PIN_AB28 -to HEX3[4] set_location_assignment PIN_AB25 -to HEX3[5] set_location_assignment PIN_AB22 -to HEX3[6] set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top